patchGNU nano - Patches: patch #10015, syntax highlighting for Verilog...

 
 

You are not allowed to post comments on this tracker with your current authentication level.

patch #10015: syntax highlighting for Verilog and SystemVerilog

Submitter:  Jorge Juan-Chico <jjchico>
Submitted:  Mon 11 Jan 2021 09:10:28 AM UTC
   
 
Priority:  3 - Low Status:  None
Privacy:  Public Assigned to:  None
Open/Closed:  Closed Release:  None

Fri 01 Oct 2021 12:48:06 PM UTC, comment #1: 

The syntax files have been uploaded to
https://nano-editor.org/more-syntaxes/,
where they are more likely to be found by interested people.

Thanks for posting them here.

Benno Schulenberg <bens>
Group administrator
Mon 11 Jan 2021 09:10:28 AM UTC, original submission:  

Verilog/SystemVerilog is the most widely use hardware description language together with VHDL. Most digital circuits and system design involves using hardware description languages today.

The proposed syntaxes are based in the latest Verilog and SystemVerilog standards. SystemVerilog is a superset of Verilog. Verilog standard was merged in the SystemVerilog standard as of 2009 and is not maintained as a separate standard anymore. Still, many simulators and design tools only support Verilog and not the SystemVerilog extensions.

You may find updated versions of these files at:
https://gitlab.com/-/snippets/2058705

Jorge Juan-Chico <jjchico>

 

(Note: upload size limit is set to 16384 kB, after insertion of the required escape characters.)

Attached Files
file #50697:  0001-Add-System-Verilog-HDL-syntax-highlighting.patch added by jjchico (15KiB - text/x-patch - Patch with both Verilog and SystemVerilog syntax.)
file #50695:  verilog.nanorc added by jjchico (5KiB - application/octet-stream)
file #50696:  sverilog.nanorc added by jjchico (8KiB - application/octet-stream)

 

Depends on the following items: None found

Items that depend on this one: None found

 

Carbon-Copy List
  • -email is unavailable- added by bens (Posted a comment)
  • -email is unavailable- added by jjchico (Submitted the item)
  •  

    There are 0 votes so far. Votes easily highlight which items people would like to see resolved in priority, independently of the priority of the item set by tracker managers.

     

    Follow 6 latest changes.

    Date Changed by Updated Field Previous Value => Replaced by
    2021-10-01 bens Priority5 - Normal 3 - Low
        Open/ClosedOpen Closed
        SummaryVerilog and SystemVerilog syntax highlighting syntax highlighting for Verilog and SystemVerilog
    2021-01-11 jjchico Attached File- Added 0001-Add-System-Verilog-HDL-syntax-highlighting.patch, #50697
    2021-01-11 jjchico Attached File- Added verilog.nanorc, #50695
        Attached File- Added sverilog.nanorc, #50696

    Back to the top

    Powered by Savane 3.13-02a9.
    Corresponding source code